Clasificación de artículos del sumador completo de cuatro bits
Un circuito lógico que puede sumar, sumar y transportar dos números binarios de 1 bit se llama medio sumador. O: un circuito aritmético que sólo considera la suma de dos números binarios de un dígito sin considerar los bits de acarreo de los bits bajos se llama medio sumador. La Figura 1 es un diagrama de bloques de un medio sumador. La figura 2 es un diagrama esquemático de un medio sumador. Entre ellos: A y B son el sumando y el sumando respectivamente, que sirven como terminales de entrada del circuito; S es la suma local generada por la suma de dos números, que junto con el acarreo C generado por la suma de dos números a el bit alto, sirve como salida del circuito.
Según el principio de suma de números binarios, la tabla de verdad del medio sumador se muestra en la Tabla 1. Señal de entrada señal de salida A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 Tabla 1 Tabla de verdad del medio sumador
(2) Sumador completo
Además de sumando los dos números en los bits base, también se suma un número de acarreo de los bits bajos, lo que se denomina sumador completo. La Figura 4 es un diagrama de bloques de un sumador completo. Figura 5 Esquema completo del sumador. El sumando Ai y el sumando Bi transportan Ci-1 desde el bit bajo al bit original como entrada del circuito, y la suma completa Si y el acarreo Ci al bit alto sirven como salida del circuito. Un circuito que puede realizar la función de operación de suma completa se denomina circuito de suma completa. La tabla de verdad de la función lógica del sumador completo se enumera en la Tabla 2. Terminal de entrada de señal Terminal de salida de señal Ai Bi Ci Si C0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 Tabla 2 Tabla de verdad de la función lógica del sumador completo
La conexión del sumador completo de varios bits puede ser acarreo o acarreo bit a bit. El transporte bit a bit también se denomina transporte en serie. Su circuito lógico es simple, pero su velocidad también es baja. Los sumadores son dispositivos lógicos básicos en los sistemas digitales. Por ejemplo, para ahorrar recursos, tanto los restadores como los multiplicadores de hardware pueden estar compuestos por sumadores. Sin embargo, el diseño del sumador de bits anchos requiere muchos recursos, por lo que en el diseño y desarrollo real de sistemas relacionados, debemos prestar atención a dos aspectos, como la utilización de recursos y la velocidad de transporte. Hay dos formas de construir un sumador multibit: acarreo paralelo y acarreo serie. El sumador de acarreo paralelo está equipado con lógica de generación de acarreo paralelo y tiene una velocidad de operación rápida. El método de acarreo en serie consiste en conectar en cascada sumadores completos para formar un sumador de múltiples bits; Generalmente, los sumadores paralelos ocupan más recursos que los sumadores en cascada en serie y, a medida que aumenta la cantidad de bits, la brecha en el uso de recursos entre los sumadores en paralelo y los sumadores en serie con la misma cantidad de bits será cada vez mayor.
Un sumador completo de cuatro bits puede sumar dos números binarios de varios dígitos y generar un acarreo al mismo tiempo. Cuando se suman dos números binarios, el término de acarreo (Ci) del bit inferior debe sumarse cuando se suman los bits superiores, de modo que la salida sea la suma (S) y el acarreo (C0).
(1) Medio sumador
La declaración de descripción del lenguaje VHDL es:
solt;=a xor b;
colt;= a y b
Programación:
biblioteca ieee;
use ieee.std_logic_1164.all;
la entidad h_adder es
port (a, b: in std_logic;
so, co: out std_logic); ——Definir puertos de entrada y salida
end h_adder; >la arquitectura bh de h_adder es
begin
solt;=a xor b; ――Operación "XOR"
colt;=a y b; Operación “Y”
end bh;
El código del programa sumador completo de cuatro bits es el siguiente:
biblioteca ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entidad add4 es
puerto(cin: en std_logic;
a, b: en std_logic_vector(3 hasta 0);
s: fuera std_logic_vector(3 hasta 0);
cout: fuera std_logic
finalizar add4;
la arquitectura detrás de add4 es
señal sint: std_logic_vector(4 abajo a 0);
señal aa, bb: std_logic_vector(4 abajo a 0); ) ;
begin
aalt;='0' amp; a(3 downto 0); --El vector sumando de 4 bits se expande a 5 bits para proporcionar espacio de transporte
bblt;='0' amp; b(3 hasta 0);
sintlt;=aa bb cin;
s(3 hasta 0)lt; =sint( 3 downto 0);
coutlt;=sint(4);
end beh;
El código de lenguaje Verilog HDL del sumador completo de cuatro bits es el siguiente:
end beh;
p>
módulo sumador4(cout,sum,a,b,cin);
salida[3 :0] suma;
salida cout;
entrada[3:0] a, b
entrada cin
asignar; {cout, suma}=a b cin;
endmodule